Samsung, Intel, and TSMC are competitors in the semiconductor industry.
Samsung, Intel, and TSMC are competitors in the semiconductor industry.

The rivalry in the advanced 2-nanometer (nm) semiconductor process among leading chipmakers from South Korea, Taiwan, and the United States is expected to intensify next year.

According to industry reports on Dec. 25, global foundry leaders – Taiwan’s TSMC (first in the industry), South Korea’s Samsung Electronics (second), and U.S.-based Intel, which is re-entering the foundry market – are all accelerating their development of an advanced 2-nm process.

Currently, the most advanced mass-production technology is the 3-nm process, manufactured by both Samsung Electronics and TSMC. Samsung commenced mass production of the 3-nm process in June last year, while TSMC began in early this year.

However, market demand for the 3-nm process reportedly has not met expectations due to concerns about initial yield rates and a downturn in the semiconductor market, leading to reduced demand from clients for these high-cost, advanced processes.

Aside from TSMC’s exclusivity in producing Apple’s PC-use system on chip M3 chips and mobile application processor) A17, major global fabless companies are still predominantly using the 4-nm process over the 3-nm foundry.

Meanwhile, TSMC’s dominance is only growing. According to market research firm TrendForce, TSMC’s share of the global foundry market increased from 53.1% in the third quarter of 2021 to 57.9% in the same period in 2023. In contrast, Samsung Foundry’s market share decreased from 17.1% to 12.4% over the same period.

Despite this, both Intel and Samsung are focusing more on developing advanced processes before TSMC, rather than immediate order expansion. Their strategy is to preempt the next market rather than compete on price with the industry leader.

Intel, in particular, is making aggressive moves in re-entering the foundry business. It plans to mass-produce its 20 angstrom (A) 2-nm-class product in the first half of next year and develop its 1.8-nm product, the 18 A, in the latter half. At Intel Innovation 2023, an annual developer event held last September, Intel also unveiled a prototype of its 18 A semiconductor wafer.

In relation, ASML, a Dutch semiconductor equipment company, recently announced on its official social media that it would deliver the world’s first High-NA Extreme Ultraviolet (EUV) equipment to Intel. This equipment, exclusively produced by ASML, is essential for creating circuits on semiconductor wafers and is vital for implementing circuits finer than 7 nm. The High-NA EUV is expected to be a key tool for processes below 2nm, enabling even finer processes than the existing EUV equipment. Intel was the first to sign a contract with ASML for this equipment early last year, ahead of Samsung Electronics and TSMC.

Samsung Foundry, having started mass production of the 3-nm process last year, aims to begin mass production of an improved second-generation 3-nm process next year and targets mass production of the 2-nm process in the first half of 2025. TSMC has set its 2-nm mass production timeline for the second half of 2025.

TSMC has recently shared the test results of its 2-nm prototype with major clients like Apple and Nvidia. Similarly, Samsung is also presenting its 2nm prototypes to major clients and is reportedly employing a price reduction strategy.

Copyright © BusinessKorea. Prohibited from unauthorized reproduction and redistribution