Manufacturer of High-pressure Hydrogen Annealing Equipment

The author is an analyst of NH Investment & Securities. He can be reached at hwdoh@nhqv.com. -- Ed. 

 

Despite the slowdown in semiconductor demand due to the global economic recession, HPSP’s sales of high-pressure hydrogen annealing equipment should continue to benefit from tech migration. Based on consensus, HPSP is forecast to log 2023 sales of W194.8bn (+25.2% y-y).

Manufacturer of high-pressure hydrogen annealing equipment

HPSP manufactures and sells high-pressure hydrogen annealing equipment that improves the interfacial characteristics of transistors using high-K insulating film. Such annealing equipment is introduced to prevent current leakage due to the tunneling phenomenon that occurs in 28nm or lower processes in high-K material (HfO2) that forms the transistor film.

High-pressure annealing technology forms H-Si bonds on interface defects through chemical reactions using H2 and D2. Through this process, the speed of the integrated circuit is improved by electrically neutralizing interface defects.

HPSP’s GENI-SYS equipment is the only high-pressure hydrogen annealing equipment currently being applied in the mass-production phase at a major semiconductor manufacturer. As such equipment is highly necessary at the sub-16nm node, continued sales growth is anticipated. Based on consensus, the firm’s 2023 sales are forecast to climb 25.2% y-y.

Demand rising on refinement of semiconductor processes

Through 2020, HPSP’s equipment was mainly applied in foundries. Recently, however, high-K application has been expanded to DRAM and NAND, and adoption of the firm’s equipment is accelerating. HPSP’s equipment can be implemented effectively even at temperatures below 400 degrees Celsius. As the chip fabrication process becomes more refined, the advantages of application at lower temperatures are being greater highlighted, resulting in ever higher demand from customers.

Demand for chips is slowing significantly amid the recent global economic downturn. Even under such circumstances, HPSP’s annealing equipment should enjoy continued sales growth thanks to tech migration. In detail, demand for high-pressure hydrogen annealing equipment is expected to increase for gate-all-around (GAA), which Samsung Electronics (SEC) is introducing from the 3nm scale, as well as DRAM processes below 1bnm. HPSP is expected to start building new factories to meet growing demand, with capacity projected to double by end-2024.

Copyright © BusinessKorea. Prohibited from unauthorized reproduction and redistribution